【Lattice FPGA 开发】Modelsim与Diamond联合仿真

本文讲解Modelsim与Diamond进行联合仿真步骤,以及对遇到问题的解决与说明。

文章目录

  • 软件版本
  • 0. Diamond设置文件为仿真文件
    • 特别注意
  • 1. Diamond设置仿真软件为Modelsim
  • 2. Modelsim编译Lattice的库文件
    • 2.1 新建文件夹存放库文件
    • 2.2 Modelsim中建立新的仿真库
      • 2.2.1 更改Modelsim工作路径
      • 2.2.2 建立新的仿真库
      • 2.2.3 编译Lattice仿真模型文件
    • 2.3 修改modelsim.ini中库文件路径
  • 3.Diamond启动仿真
  • 4. Modelsim仿真设置
  • 5.报错与解决

保证Modelsim与Diamond能够进行联合仿真的前提是:

1.Diamond软件中设置仿真软件为Modelsim;
2.Modelsim具有对应Lattice的仿真库文件。

以此便建立了Modelsim与Diamond之间的联系。至于具体仿真时出现的报错再根据报错信息一一解决。

接下来讲解设置此两前提的步骤,以及遇到的问题解决。

软件版本

博主使用的软件版本:Diamond 3.13 + Modelsim SE-64 10.7

0. Diamond设置文件为仿真文件

Diamond中设置某文件为仿真文件
在这里插入图片描述

特别注意

在联合仿真时,仿真文件testbench中要加入以下两个例化代码

GSR GSR_INST(.GSR(1'b1));
PUR PUR_INST(.PUR(1'b1));

否则可能报错:
(vopt-7063) Failed to find ‘GSR_INST’ in hierarchical name ‘GSR_INST.GSRNET’.
(vopt-7063) Failed to find ‘PUR_INST’ in hierarchical name ‘PUR_INST.PURNET’.

1. Diamond设置仿真软件为Modelsim

在Diamond中选择 Tools > Options > Environment > Directories,在Simulation的Modelsim/QuestaSim选择modelsim的安装路径:D:/modelsim/win64,注意此处的斜杠/的方向【此地址以自己安装的Modelsim目录为准,写到win64层级为止】
在这里插入图片描述

2. Modelsim编译Lattice的库文件

2.1 新建文件夹存放库文件

在Modelsim安装目录下新建Lattice文件夹,用于存放编译后的库文件。文件夹地址和文件夹命名没有要求,方便记住最佳。
在这里插入图片描述

2.2 Modelsim中建立新的仿真库

2.2.1 更改Modelsim工作路径

打开Modelsim软件,点击“file ‐‐>Change Directory” 然后打开我们在2.1中建立的仿真库文件夹。比如“D:/modelsim_10.7/Lattice” ,然后确定。

此时我们看到下方的Transcript栏显示地址跳转到了文件夹,并且正在reading XX地址下modelsim. ini的文件(如果未出现该地址,直接进Modelsim安装文件夹根目录找到该文件即可)。我们找到这个文件右键点击属性,然后去掉只读属性,确定并退出。
在这里插入图片描述

去掉只读属性:(去掉的目的是由于我们在后续进行编译库时需要向此文件内写东西,去掉只读属性才能写入)
在这里插入图片描述

(网络上的一些教程是修改Modelsim根目录下的“modelsim.ini”文件为只读属性,由于博主之前创建过Xlinx的库文件,里面也有一个“modelsim.ini”文件,经过实验发现Modelsim初始对两个“modelsim.ini”文件都会进行读取,所以修改哪个文件似乎不重要。博主选择reading地址的文件进行修改)

2.2.2 建立新的仿真库

File->NEW->library 新建一个仿真库填你建的仿真库名称
由于博主使用的是Lattice ECP5U的芯片,所以使用ECP5U来命名。
在这里插入图片描述

2.2.3 编译Lattice仿真模型文件

输入完成后,ECP5U库变成如下形态ECP5U(empty),还是空的,接下来编译库文件放进去。
在这里插入图片描述

在modelsim的菜单栏中选择Compile >> Compile,出现如下对话框。

1.注意在Library中选择刚才新建的库(ECP5U)

2.在“查找范围”中,选择Lattice对应Family的器件需要编译的文件,在编译的时候一般需要编译两个文件夹下的子文件,在本例中一个为ecp5u文件夹下的所有文件,另一个为pmi文件夹下的所有文件。在编译以前,一定要确认需要仿真的顶层文件是verilog还是VHDL。

若顶层仿真文件为Verilog,则需要编译的库文件的路径为:D:\Diamond\diamond\3.13\cae_library\simulation\verilog
若顶层仿真文件为VHDL,则需要编译的库文件的路径为:D:\Diamond\diamond\3.13\cae_library\simulation\vhdl
在这两个文件夹下,分别有ecp5u和pmi文件。pmi文件夹中的文件,主要为一些ip core的仿真库(一定记得要编译该文件夹,不然IP核无法仿真)。

选择编译ecp5u中的所有文件:Library中选择库(ECP5U)、“查找范围”选择ecp5u文件夹;ctrl+all全选后点击compile。
在这里插入图片描述

查看modelsim最下方的Transcript信息中,当编译无错后,再选择编译pmi中的所有文件:Library中选择库(ECP5U)、“查找范围”选择pmi文件夹;ctrl+all全选后点击compile。
在这里插入图片描述

此时我们已经可以看到ECP5U库不再为(empty)。
在这里插入图片描述
编译完成,在Transcript中没有错误出现后。点击Done,退出Modelsim。

2.3 修改modelsim.ini中库文件路径

打开modelsim.ini文件,在[Library]部分的最后,[vcom]的上方,会发现有一行:ECP5U = ECP5U,修改这一行为:ECP5U = 2.1中的库文件,即ECP5U =D:/modelsim_10.7/Lattice/ECP5U(注意此处的斜杠/的方向),其功能为指定仿真库的路径。修改完成后保存退出,并添加modelsim.ini的只读属性,防止错误修改

3.Diamond启动仿真

点击图标Simulation Wizard 或者 菜单栏中选择Tools > Simulation Wizard. 输入仿真工程名以及路径,选择仿真工具Modelsim.在点击Finish后会自动启动modelsim。
在这里插入图片描述

选择地址,工程名称。默认会在Froject location文件夹下创建Project name文件夹,点击Next。
在这里插入图片描述

点击YES
在这里插入图片描述

点击next,next到此页面选择仿真文件点击next,下一页点击finish后开始仿真。
在这里插入图片描述

4. Modelsim仿真设置

编译全部文件,在这个过程中如果出错,请修改。将?编译成√。
在这里插入图片描述

在modelsim的菜单栏中选择Simulate > Start Simulate,在Libraries中选择需要添加的仿真库,这个仿真库必须与所选用的器件是同一Family的,并点击OK。
在这里插入图片描述

点击Library栏,删除掉原来默认的library:
在这里插入图片描述

加入本次仿真的库:
在这里插入图片描述

点击Design栏,点击仿真文件点击OK即可完成仿真。
在这里插入图片描述

5.报错与解决

将sim页面的仿真文件信号增加到波形时出现错误:(vish-4014) No objects found matching ‘/tb_top/*’.并且看到部分信号模块未出现,只有部分或者没有Object对象可供加入wave,估计被优化了。

解决办法:重复4中的步骤,在 Start Simulate页面的Design栏选择Optimization Options…,点击Apply full visibility to all modules(full debug mode),点击OK,再次仿真即可。(原因可能是由于高版本modelsim仿真信号时被优化掉)
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/556380.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

推荐一款websocket接口测试工具

网址:Websocket在线测试-Websocket接口测试-Websocket模拟请求工具 http://www.jsons.cn/websocket/ 很简单输入以ws开后的网址就可以了 这个网址是你后台设置的 如果连接成功会砸提示框内显示相关字样,反之则不行

【计算机毕业设计】学习平台产品功能介绍——后附源码

🎉**欢迎来到我的技术世界!**🎉 📘 博主小档案: 一名来自世界500强的资深程序媛,毕业于国内知名985高校。 🔧 技术专长: 在深度学习任务中展现出卓越的能力,包括但不限于…

Grid Controller

完整、易于使用的基于网格的第一人称控制器,具有《格里姆洛克传奇》、《地下城大师》和《巫师》的风格。 网格控制器是一种基于网格的第一人称控制器,设置简单,但具有鲁棒性和通用性。不需要脚本。 特征: 实时或基于回合的移动 平滑移动或即时捕捉到网格位置 倾斜、下降和蹲…

vivado 使用 JTAG-to-AXI Master 调试核进行硬件系统通信

使用 JTAG-to-AXI Master 调试核进行硬件系统通信 JTAG-to-AXI Master 调试核为可自定义核 , 可在运行时生成 AXI 传输事务并驱动 FPGA 内部的 AXI 信号。该核支持所 有存储器映射型 AXI 接口和 AXI4-Lite 接口 , 并且可支持位宽为 32 或 64 …

web安全学习笔记(12)

记一下第十六节课的内容。 一、jQuery Ajax 我们要先下载jQuery。 首先我们转移到template目录下,准备把jQuery下载到这下面。 直接wget下来就可以了。 这样我们就下载好了jQuery,下面我们学习如何使用。 jQuery 调用 ajax 方法 格式:$.…

CMC学习系列 (12):卒中患者的前三角肌和肱肌的 CMC 显著降低

卒中患者的前三角肌和肱肌的 CMC 显著降低 0. 引言1. 主要贡献2. 方法2.1 患者信息2.2 实验范式2.3 相干性计算 3. 结果4. 讨论5. 总结欢迎来稿 论文地址:https://www.sciencedirect.com/science/article/abs/pii/S1388245709002363 论文题目:Functional…

PLC工业网关,实现PLC联网

在当今工业自动化领域,PLC(可编程逻辑控制器)作为控制系统的核心,其稳定性和可靠性至关重要。然而,随着工业互联网和智能制造的快速发展,如何实现PLC的联网通信,提高数据传输效率,成…

电脑做Vlog有哪些软件 做电脑Vlog需要什么 电脑做vlog的视频软件 会声会影2023新功能

VLOG是指视频博客(Video Blog),是一种通过视频形式记录和分享个人生活、经验、观点等的方式。类似于传统的博客,VLOG允许人们通过视频来表达自己的想法和感受,通常包括日常生活、旅行经历、美食探索、技能展示等内容。…

单链表的基本操作实现:初始化、尾插法、头插法、输出单链表、求表长、按序号查找、按值查找、插入结点、删除结点。

1.参考学习博文(写的相当好的文章): http://t.csdnimg.cn/AipNl 2.关于我的总结: 定义单链表: typedef struct LNode {Elemtype data;struct LNode* next; }LNode; data用来存放元素值,next用来指向后…

go语言是如何实现协程的

写在文章开头 go语言的精华就在于协程的设计,只有理解协程的设计思想和工作机制,才能确保我们能够完全的利用协程编写强大的并发程序。 Hi,我是 sharkChili ,是个不断在硬核技术上作死的 java coder ,是 CSDN的博客专…

【云计算】云计算八股与云开发核心技术(虚拟化、分布式、容器化)

【云计算】云计算八股与云开发核心技术(虚拟化、分布式、容器化) 文章目录 一、什么是云计算?1、云计算的架构(基础设施,平台,软件)2、云计算的发展 二、如何做云计算开发?云计算的核…

IBM SPSS Statistics for Mac:数据分析的卓越工具

IBM SPSS Statistics for Mac是一款功能强大的数据分析软件,专为Mac用户设计,提供了一系列专业的统计分析和数据管理功能。无论是科研人员、数据分析师还是学生,都能从中获得高效、准确的数据分析支持。 IBM SPSS Statistics for Mac v27.0.1…

管道流设计模式结合业务

文章目录 流程图代码实现pomcontextEventContextBizTypeAbstractEventContext filterEventFilterAbstractEventFilterEventFilterChainFilterChainPipelineDefaultEventFilterChain selectorFilterSelectorDefaultFilterSelector 调用代码PipelineApplicationcontrollerentitys…

在C#中,PDFsharp库使用(三):PDF提取

PDF提取 一、PDF提取功能,看图 二、PDF提取界面 三、PDF提取代码 //pdf提取---选择文件Button private void button9_Click(object sender, EventArgs e) {string oneFilePath GetOneFilepath();if (!string.IsNullOrEmpty(oneFilePath)){textBox3.Text oneFilePa…

springboot汽车企业公司网站的系统设计ssm-java

框架:SSM/springboot都有 jdk版本:1.8 及以上 ide工具:IDEA 或者eclipse 数据库: mysql 编程语言: java 前端:layuibootstrapjsp 详细技术:HTMLCSSJSjspspringmvcmybatisMYSQLMAVENtomcat 开发工具 IntelliJ IDEA: 一…

带小数点的String类型数据,如何只取整数?

一、场景引入 如果前端页面存在列表展示用户数据,但是用户数据存在非常多的小数位,从页面来看,数据太多就会不太美观,因此,出于场景美化考虑,在不影响业务功能的情况下,可以只展示整数内容&…

00_Linux

文章目录 LinuxLinux操作系统的组成Linux的文件系统Linux操作系统中的文件类型Linux操作系统的组织结构 Linux vs WindowsNAT vs 桥接模式 vs 仅主机Linux Shell命令Linux⽂件与⽬录管理相关指令目录文件普通文件文本编辑 用户管理添加用户删除用户用户组管理 文件权限管理权限…

家庭营销广告Criteo公司首次获得MRC零售媒体测量认证

家庭营销广告Criteo公司首次获得零售媒体测量MRC认证 商业媒体公司Criteo2024年3月28日宣布,它首次获得媒体评级委员会(MRC)的认证,在其企业零售媒体平台commerce Max和commerce Yield上,在桌面、移动网络和移动应用内…

PCL SAC_IA配准高阶用法——统计每次迭代的配准误差并可视化

目录 一、概述二、代码实现三、可视化代码四、结果展示本文由CSDN点云侠原创,原文链接。如果你不是在点云侠的博客中看到该文章,那么此处便是不要脸的爬虫。 一、概述 在进行论文写作时,需要做对比实验,来分析改进算法的性能,期间用到了迭代误差分布统计的比较分析,为直…

mid_360建图和定位

录制数据 roslaunch livox_ros_driver2 msg_MID360.launch使用fast-lio 建图 https://github.com/hku-mars/FAST_LIO.git 建图效果 使用python做显示 https://gitee.com/linjiey11/mid360/blob/master/show_pcd.py 使用 point_lio建图 https://github.com/hku-mars/Point…
最新文章